Gemmini: Enabling Systematic Deep-Learning Architecture Evaluation via Full-Stack Integration


Hasan Genc
Seah KimAlon AmidAmeer Haj-AliVighnesh IyerPranav PrakashJerry ZhaoDaniel GrubbHarrison LiewHoward MaoAlbert J. OuColin SchmidtSamuel StefflJohn Charles WrightIon StoicaJonathan Ragan-KelleyKrste AsanovicBorivoje NikolicYakun Sophia Shao

DNN accelerators are often developed and evaluated in isolation without considering the cross-stack, system-level effects in real-world environments. This makes it difficult to appreciate the impact of Systemon-Chip (SoC) resource contention, OS overheads, and programming-stack inefficiencies on overall performance/energy-efficiency. To address this challenge, we present Gemmini, an open-source, full-stack DNN accelerator generator. Gemmini generates a wide design-space of efficient ASIC accelerators from a flexible architectural template, together with flexible programming stacks and full SoCs with shared resources that capture system-level effects. Gemmini-generated accelerators have also been fabricated, delivering up to three orders-of-magnitude speedups over high-performance CPUs on various DNN benchmarks.

URL: https://ieeexplore.ieee.org/document/9586216/authors